Mentor Graphics Corporation (Mentor Graphics), a US-based supplier of electronic design automation systems, has been selected by Semiconductor Leading Edge Technologies, Inc. (Selete) to provide the new Calibre nm Platform. The new platform is for simulation and correction of flare in Selete’s Extreme UV Lithography (EUV) research program for memory and logic ICs. EUV exposure systems utilize a 13.5nm wavelength illumination source to enable printing of feature sizes expected at future nodes.

“We have conducted exhaustive experiments and have verified that the Calibre platform’s flare modeling capability accurately models and corrects for the strong flare effects in EUV, said Ichiro Mori, director and general manager, Research Department 3, Selete. “This level of accuracy, combined with the Calibre platform’s high performance and reliability, makes it the best alternative for use in full chip-level evaluation on a process liability test site in our EUV research program.”

EUV Lithography is one of the strong candidates for production of integrated circuits below 22nm. However, EUV exposure systems suffer from a very high level of scattered light known as “flare.” The expected flare levels will create unacceptably large distortions in printed features, leading to degraded circuit performance if not properly compensated.

The Calibre hierarchical polygon processing engine with its “Density Convolve” capability simulates the level of scattered light at all points within the chip utilizing fractal kernel convolution models, and then compensates for the scattered light’s effect on the printed image. Teams of researchers from Selete and Mentor Graphics have validated the accuracy and performance of the Calibre flare compensation flow at Selete.

“We are extremely pleased that Mentor has been chosen as Selete’s EDA vendor of choice for partnering on solutions for EUV mask development,” said Joseph Sawicki, vice president and general manager for the design-to-silicon division at Mentor Graphics. “We have a very strong partnership with Selete that has already resulted in significant successes on the Calibre nm Platform. We’re looking forward to extending our research as we continue to meet the critical need for pattern fidelity at new semiconductor nodes.”